Monday, March 1, 2010

suggestion for matchhit settings

I need to navigate between verilog code and I tried few settings with
matchit but I don't have any success.
Problem is I need to match "begin:end", "case:endcase" independently.
I end up getting also match for begin:endcase.

Here is pseudo verilog code
always @(posedge clk) begin
case(index)
1: $display();
default: $error();
endcase
end

Also, Just wondering, anyone has matchit settings for verilog/
systemverilog.

--
You received this message from the "vim_use" maillist.
Do not top-post! Type your reply below the text you are replying to.
For more information, visit http://www.vim.org/maillist.php

No comments:

Post a Comment