Tuesday, July 10, 2018

Re: Syntax Completion works only for one language



On Mon, Jul 9, 2018 at 6:21 PM 'Ameesh Oza' via vim_use <vim_use@googlegroups.com> wrote:
On Friday, July 6, 2018 at 6:23:15 AM UTC-7, David Fishburn wrote:
> On Thu, Jul 5, 2018 at 12:38 PM 'Ameesh Oza' via vim_use <vim...@googlegroups.com> wrote:
> On Wednesday, July 4, 2018 at 5:08:51 AM UTC-7, David Fishburn wrote:
> ...
>
...
 
>
> Hmm, pattern not found.  That sounds like it has not picked up the syntax list.
>
 
...
:e test.upf

...
 
i<C-X><C-O> gives me a list of words

:echo OmniSyntaxList()
['-', '-ack_delay', '-ack_port', '-all_equivalent', '-applies_to', '-assert_r_mutex', '-assert_rs_mutex', '-assert_
...
:e test.sv

i<C-X><C-O>
-- Omni completion (^O^N^P) Pattern not found

:echo OmniSyntaxList()
[]


Well, that would explain the pattern not found.
When you are in this state and you run:
:syntax list

What output do you get?

The syntaxComplete plugin pulls what to highlight from the output of that command.

When I try this on my 7.4 system I get:

syntax list
--- Syntax items ---
verilogStatement xxx always highz0 highz1 posedge noshowcancelled notif0 notif1 force endgenerate or realtime instance pmos cmos cell automatic genvar rnmos nand wait weak0 weak1 initial trireg pullup
                   parameter table large input event output ifnone unsigned and xnor endtable real config pulldown pulsestyle_onevent incdir rpmos medium rcmos generate pull0 liblist showcancelled rtran
                   endprimitive scalared endmodule rtranif0 rtranif1 specparam xor endspecify wand inout wor endtask task endfunction bufif0 bufif1 small library wire time primitive tranif0 tranif1 triand
                   integer localparam endconfig assign tran disable reg module nmos macromodule negedge trior tri0 tri1 release include nor not specify defparam pull1 vectored pulsestyle_ondetect buf
                   function deassign tri edge strong0 strong1 design signed use supply0 supply1
                   links to Statement
verilogLabel   xxx end join begin fork
...

:echo OmniSyntaxList()
['accept_on', 'alias', 'always_comb', 'always_ff', 'always_latch', 'assert', 'assume', 'before', 'bind', 'bins', 'binsof', 'bit', 'break', 'byte', 'chandle', 'checker', 'class', 'clocking', 'const', 'constra
int', 'context', 'continue', 'cover', 'covergroup', 'coverpoint', 'cross', 'dist', 'do', 'endchecker', 'endclass', 'endclocking', 'endgroup', 'endinterface', 'endpackage', 'endprogram', 'endproperty', 'endse
quence', 'enum', 'eventually', ....
 
David

--
--
You received this message from the "vim_use" maillist.
Do not top-post! Type your reply below the text you are replying to.
For more information, visit http://www.vim.org/maillist.php

---
You received this message because you are subscribed to the Google Groups "vim_use" group.
To unsubscribe from this group and stop receiving emails from it, send an email to vim_use+unsubscribe@googlegroups.com.
For more options, visit https://groups.google.com/d/optout.

No comments: