Thursday, July 12, 2018

Re: Syntax Completion works only for one language

On Thursday, July 12, 2018 at 6:07:05 AM UTC-7, David Fishburn wrote:
> On Tue, Jul 10, 2018 at 2:56 PM 'Ameesh Oza' via vim_use <vim...@googlegroups.com> wrote:
> ...
>  :syntax list
>
> verilogStatement xxx highz0 highz1 always_comb force automatic pullup genvar parameter table input this alias
>
>                    config import tagged generate rtran endprimitive rtranif0 rtranif1 specparam sequence
>
>                    endspecify wor super library endpackage export first_match throughout semaphore localparam
>
>                    endconfig set_randstate randcase module module before dist negedge include pull0 pull1
>
>                    coverpoint endprogram int use within endgroup wait notif0 notif1 endgenerate chandle
>
>                    endmodule endmodule endsequence std null var constraint event extends ifnone and xnor
>
>                    endtable real const pulsestyle_onevent class showcancelled function solve wand endtask wire
>
>                    struct tri extern sample strong0 strong1 ref reg cross nmos with defparam wildcard vectored
>
>                    deassign disable package always_latch pure randsequence scalared pmos cmos cell triggered
>
>                    nand longint unsigned inout get_randstate always_ff void program type pulldown randomize
>
>                    endclass covergroup xor endproperty small context priority time time assign ignore_bins
>
>                    srandom rand bit macromodule assume trior nor not modport virtual shortreal always posedge
>
>                    noshowcancelled or triand wait_order realtime instance final string rnmos tran inside weak0
>
>                    weak1 initial timeunit clocking large unique output specify property new local matches uwire
>
>                    incdir endinterface protected rpmos rcmos intersect liblist binsof expect illegal_bins trireg
>
>                    task packed endfunction bufif0 bufif1 timeprecision static interface cover tranif0 tranif1
>
>                    medium integer union shortint primitive endclocking tri0 tri1 release pulsestyle_ondetect buf
>
> -- More --
>
>
>
> And ...
>
>
>
>
> :echo OmniSyntaxList() 
>
>  

:echo OmniSyntaxList()
[]


--
--
You received this message from the "vim_use" maillist.
Do not top-post! Type your reply below the text you are replying to.
For more information, visit http://www.vim.org/maillist.php

---
You received this message because you are subscribed to the Google Groups "vim_use" group.
To unsubscribe from this group and stop receiving emails from it, send an email to vim_use+unsubscribe@googlegroups.com.
For more options, visit https://groups.google.com/d/optout.

No comments: