Saturday, April 21, 2012

Re: filetype unknown on startup

On 2012-04-20, JollyJ wrote:
> Thanks for the suggestion.
>
> I tried adding:
>
> filetype indent plugin on
>
> and still got the same results.
>
> I started vim without the .vimrc and the error was gone, so I then tried
> commenting different lines out in .vimrc. When I commented out the syntax
> and filetype indent plugin commands, it works fine. No error, and all the
> features requested in .vimrc work. Here's the odd part; syntax highlighting
> still works!
>
> I see that vim has many individual syntax files. Could it be that that the
> regular vimrc applies the proper syntax highlighting based on file name
> extension if a color scheme is selected? There is code in that file that
> looks to me like it might do that; but again, I don't know what I'm reading.

Syntax highlighting does not depend on the color scheme. The colors
used by the syntax highlighting commands depend on the colors
defined by the color scheme, but the syntax highlighting or syntax
file type selection does not.

Regards,
Gary

--
You received this message from the "vim_use" maillist.
Do not top-post! Type your reply below the text you are replying to.
For more information, visit http://www.vim.org/maillist.php

No comments: